aboutsummaryrefslogtreecommitdiffstats
path: root/plugin_fifo.c
diff options
context:
space:
mode:
authormichux <michux@3ae390bd-cb1e-0410-b409-cd5a39f66f1f>2008-04-15 09:56:44 +0000
committermichux <michux@3ae390bd-cb1e-0410-b409-cd5a39f66f1f>2008-04-15 09:56:44 +0000
commitd638ece8d85d29ca6528bae21879c8150caa9dc9 (patch)
tree948c07a2dcc32e6522151e4d9fd5e8222b4af151 /plugin_fifo.c
parentc34fa6efea48c1db2b44e37607e0991b54c0879b (diff)
downloadlcd4linux-d638ece8d85d29ca6528bae21879c8150caa9dc9.tar.gz
forgot include
git-svn-id: https://ssl.bulix.org/svn/lcd4linux/trunk@877 3ae390bd-cb1e-0410-b409-cd5a39f66f1f
Diffstat (limited to 'plugin_fifo.c')
-rw-r--r--plugin_fifo.c1
1 files changed, 1 insertions, 0 deletions
diff --git a/plugin_fifo.c b/plugin_fifo.c
index fda6f0b..c38590f 100644
--- a/plugin_fifo.c
+++ b/plugin_fifo.c
@@ -41,6 +41,7 @@
#include <unistd.h>
#include <fcntl.h>
#include <sys/stat.h>
+#include <signal.h>
/* these should always be included */
#include "debug.h"