aboutsummaryrefslogtreecommitdiffstats
path: root/system.c
diff options
context:
space:
mode:
authorreinelt <>2000-04-15 11:13:54 +0000
committerreinelt <>2000-04-15 11:13:54 +0000
commit9995cf7420a59acfc3550f28a9e14fa8b08fffe5 (patch)
treeae8a6348cdb49be80e7b2b39979259a74c923ce3 /system.c
parent7a34aa1940680a265c074fe1c4f329eb0669d63c (diff)
downloadlcd4linux-9995cf7420a59acfc3550f28a9e14fa8b08fffe5.tar.gz
[lcd4linux @ 2000-04-15 11:13:54 by reinelt]
added '-d' (debugging) switch added several debugging messages removed config entry 'Delay' for HD44780 driver delay loop for HD44780 will be calibrated automatically
Diffstat (limited to 'system.c')
0 files changed, 0 insertions, 0 deletions