From 66c4eabaf093962ee343004e4c771f803dcab1de Mon Sep 17 00:00:00 2001 From: Jonathan McCrohan Date: Wed, 21 Mar 2012 01:06:27 +0000 Subject: Double the width of registervalue --- src/modbuslog.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'src/modbuslog.c') diff --git a/src/modbuslog.c b/src/modbuslog.c index b310cc7..320f56b 100644 --- a/src/modbuslog.c +++ b/src/modbuslog.c @@ -300,7 +300,7 @@ int main(int argc, char *argv[]) { syslog(LOG_DEBUG, "opening file for append: [%s]", log_filename); - int16_t registervalue = 0; + int32_t registervalue = 0; int p; -- cgit v1.2.3