From 37707fd006bbd86cfd00dc0e2741d15459a2791e Mon Sep 17 00:00:00 2001 From: Patrick Boettcher Date: Tue, 9 Aug 2005 19:59:39 +0000 Subject: fixed typo for dvb-h transponder --- dvb-t/de-Berlin | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'dvb-t/de-Berlin') diff --git a/dvb-t/de-Berlin b/dvb-t/de-Berlin index a1d8ba99..27010553 100644 --- a/dvb-t/de-Berlin +++ b/dvb-t/de-Berlin @@ -2,5 +2,5 @@ # T freq bw fec_hi fec_lo mod transmission-mode guard-interval hierarchy T 522000000 8MHz 2/3 NONE QAM16 8k 1/8 NONE # ard / rbb T 570000000 8MHz 2/3 NONE QAM16 8k 1/8 NONE # zdf -T 618000000 8MHZ 1/2 NONE QAM16 8K 1/8 NONE # dvb-h testbouqet +T 618000000 8MHz 1/2 NONE QAM16 8k 1/8 NONE # dvb-h testbouqet T 658000000 8MHz 2/3 NONE QAM16 8k 1/8 NONE # t-systems -- cgit v1.2.3