From 76c08672bc6c2984ebd7045a71862099890c9118 Mon Sep 17 00:00:00 2001 From: etobi Date: Tue, 3 Sep 2013 09:48:47 +0200 Subject: Imported Upstream version 1.1.1+rev1457 --- util/scan/dvb-t/auto-Taiwan | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) create mode 100644 util/scan/dvb-t/auto-Taiwan (limited to 'util/scan/dvb-t/auto-Taiwan') diff --git a/util/scan/dvb-t/auto-Taiwan b/util/scan/dvb-t/auto-Taiwan new file mode 100644 index 0000000..c32af48 --- /dev/null +++ b/util/scan/dvb-t/auto-Taiwan @@ -0,0 +1,18 @@ +# for ($freq = 527000000; $freq <= 599000000; $freq += 6000000) { +# print "T $freq 6MHz AUTO NONE AUTO AUTO AUTO NONE\n"; +# } +# +# T freq bw fec_hi fec_lo mod transmission-mode guard-interval hierarchy +T 527000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 533000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 539000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 545000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 551000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 557000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 563000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 569000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 575000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 581000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 587000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 593000000 6MHz AUTO NONE AUTO AUTO AUTO NONE +T 599000000 6MHz AUTO NONE AUTO AUTO AUTO NONE -- cgit v1.2.3